source: avrstuff/CPC stuff/nova/nova.net

main
Last change on this file was ee21858, checked in by PulkoMandy <pulkomandy@…>, 3 years ago

Nova: add softreset handling

This was making the circuit too complicated, so use a GAL to integrate
some of the logic. Let's see how this works out!

  • Property mode set to 100644
File size: 25.1 KB
Line 
1(export (version D)
2 (design
3 (source "C:\\Users\\pulkomandy\\Documents\\GitHub\\avrstuff\\CPC stuff\\nova\\nova.sch")
4 (date "18/05/2021 17:53:21")
5 (tool "Eeschema (5.1.4)-1")
6 (sheet (number 1) (name /) (tstamps /)
7 (title_block
8 (title "Nova 2021")
9 (company PulkoTronics)
10 (rev 1.0)
11 (date 2021-02-23)
12 (source nova.sch)
13 (comment (number 1) (value "RTC/NVRAM expansion for Amstrad CPC 6128/Plus"))
14 (comment (number 2) (value ""))
15 (comment (number 3) (value ""))
16 (comment (number 4) (value "")))))
17 (components
18 (comp (ref C1)
19 (value C)
20 (footprint Capacitor_SMD:C_1206_3216Metric)
21 (datasheet ~)
22 (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
23 (sheetpath (names /) (tstamps /))
24 (tstamp 5FB4DF4E))
25 (comp (ref C2)
26 (value C)
27 (footprint Capacitor_SMD:C_1206_3216Metric)
28 (datasheet ~)
29 (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
30 (sheetpath (names /) (tstamps /))
31 (tstamp 5FB4E00C))
32 (comp (ref C3)
33 (value C)
34 (footprint Capacitor_SMD:C_1206_3216Metric)
35 (datasheet ~)
36 (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
37 (sheetpath (names /) (tstamps /))
38 (tstamp 5FB4E067))
39 (comp (ref C5)
40 (value CP)
41 (footprint Capacitor_THT:CP_Radial_D5.0mm_P2.50mm)
42 (datasheet ~)
43 (libsource (lib Device) (part CP) (description "Polarized capacitor"))
44 (sheetpath (names /) (tstamps /))
45 (tstamp 5FB4E0EC))
46 (comp (ref U4)
47 (value M48T35Y-70MH1F)
48 (footprint NVRAM:M48T35Y-70MH1F)
49 (libsource (lib nova-rescue) (part M48T35Y-70MH1F-2021-02-23_17-07-18) (description ""))
50 (sheetpath (names /) (tstamps /))
51 (tstamp 6036E291))
52 (comp (ref U1)
53 (value 74HC86)
54 (footprint Package_SO:SOIC-14_3.9x8.7mm_P1.27mm)
55 (datasheet http://www.ti.com/lit/gpn/sn74HC86)
56 (libsource (lib 74xx) (part 74HC86) (description "Quad 2-input XOR"))
57 (sheetpath (names /) (tstamps /))
58 (tstamp 6037F6C9))
59 (comp (ref U2)
60 (value 74LS138)
61 (footprint Package_SO:SOIC-16_3.9x9.9mm_P1.27mm)
62 (datasheet http://www.ti.com/lit/gpn/sn74LS138)
63 (libsource (lib 74xx) (part 74LS138) (description "Decoder 3 to 8 active low outputs"))
64 (sheetpath (names /) (tstamps /))
65 (tstamp 6037FC84))
66 (comp (ref JP1)
67 (value Jumper)
68 (footprint Jumper:SolderJumper-2_P1.3mm_Open_RoundedPad1.0x1.5mm)
69 (datasheet ~)
70 (libsource (lib Device) (part Jumper) (description "Jumper, normally closed"))
71 (sheetpath (names /) (tstamps /))
72 (tstamp 60389732))
73 (comp (ref U3)
74 (value 74LS30)
75 (footprint Package_SO:SOIC-14_3.9x8.7mm_P1.27mm)
76 (datasheet http://www.ti.com/lit/gpn/sn74LS30)
77 (libsource (lib 74xx) (part 74LS30) (description "8-input NAND"))
78 (sheetpath (names /) (tstamps /))
79 (tstamp 603D2AC1))
80 (comp (ref U7)
81 (value 74LS273)
82 (footprint Package_SO:SOIC-20W_7.5x12.8mm_P1.27mm)
83 (datasheet http://www.ti.com/lit/gpn/sn74LS273)
84 (libsource (lib 74xx) (part 74LS273) (description "8-bit D Flip-Flop, reset"))
85 (sheetpath (names /) (tstamps /))
86 (tstamp 60426D23))
87 (comp (ref J1)
88 (value Conn_02x25_Odd_Even)
89 (footprint Connector_PinHeader_2.54mm:PinHeader_2x25_P2.54mm_Vertical)
90 (datasheet ~)
91 (libsource (lib Connector_Generic) (part Conn_02x25_Odd_Even) (description "Generic connector, double row, 02x25, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)"))
92 (sheetpath (names /) (tstamps /))
93 (tstamp 60427157))
94 (comp (ref C4)
95 (value C)
96 (footprint Capacitor_SMD:C_1206_3216Metric)
97 (datasheet ~)
98 (libsource (lib Device) (part C) (description "Unpolarized capacitor"))
99 (sheetpath (names /) (tstamps /))
100 (tstamp 6043410B))
101 (comp (ref D1)
102 (value DIODE)
103 (footprint Diode_SMD:D_SOD-123F)
104 (datasheet ~)
105 (libsource (lib pspice) (part DIODE) (description "Diode symbol for simulation only. Pin order incompatible with official kicad footprints"))
106 (sheetpath (names /) (tstamps /))
107 (tstamp 60516A39))
108 (comp (ref D2)
109 (value DIODE)
110 (footprint Diode_SMD:D_SOD-123F)
111 (datasheet ~)
112 (libsource (lib pspice) (part DIODE) (description "Diode symbol for simulation only. Pin order incompatible with official kicad footprints"))
113 (sheetpath (names /) (tstamps /))
114 (tstamp 60516B01))
115 (comp (ref R1)
116 (value 1K)
117 (footprint Resistor_SMD:R_0805_2012Metric)
118 (datasheet ~)
119 (libsource (lib Device) (part R) (description Resistor))
120 (sheetpath (names /) (tstamps /))
121 (tstamp 605AC2A0))
122 (comp (ref U5)
123 (value GAL16V8)
124 (footprint Housings_SOIC:SOIC-20W_7.5x12.8mm_Pitch1.27mm)
125 (libsource (lib Logic_Programmable) (part GAL16V8) (description "Programmable Logic Array, DIP-20/SOIC-20/PLCC-20"))
126 (sheetpath (names /) (tstamps /))
127 (tstamp 60A49CF2)))
128 (libparts
129 (libpart (lib 74xx) (part 74LS138)
130 (description "Decoder 3 to 8 active low outputs")
131 (docs http://www.ti.com/lit/gpn/sn74LS138)
132 (footprints
133 (fp DIP?16*))
134 (fields
135 (field (name Reference) U)
136 (field (name Value) 74LS138))
137 (pins
138 (pin (num 1) (name A0) (type input))
139 (pin (num 2) (name A1) (type input))
140 (pin (num 3) (name A2) (type input))
141 (pin (num 4) (name E1) (type input))
142 (pin (num 5) (name E2) (type input))
143 (pin (num 6) (name E3) (type input))
144 (pin (num 7) (name O7) (type output))
145 (pin (num 8) (name GND) (type power_in))
146 (pin (num 9) (name O6) (type output))
147 (pin (num 10) (name O5) (type output))
148 (pin (num 11) (name O4) (type output))
149 (pin (num 12) (name O3) (type output))
150 (pin (num 13) (name O2) (type output))
151 (pin (num 14) (name O1) (type output))
152 (pin (num 15) (name O0) (type output))
153 (pin (num 16) (name VCC) (type power_in))))
154 (libpart (lib 74xx) (part 74LS273)
155 (aliases
156 (alias 74HC273)
157 (alias 74HCT273)
158 (alias 74AHC273)
159 (alias 74AHCT273))
160 (description "8-bit D Flip-Flop, reset")
161 (docs http://www.ti.com/lit/gpn/sn74LS273)
162 (footprints
163 (fp DIP?20*)
164 (fp SO?20*)
165 (fp SOIC?20*))
166 (fields
167 (field (name Reference) U)
168 (field (name Value) 74LS273))
169 (pins
170 (pin (num 1) (name ~Mr) (type input))
171 (pin (num 2) (name Q0) (type output))
172 (pin (num 3) (name D0) (type input))
173 (pin (num 4) (name D1) (type input))
174 (pin (num 5) (name Q1) (type output))
175 (pin (num 6) (name Q2) (type output))
176 (pin (num 7) (name D2) (type input))
177 (pin (num 8) (name D3) (type input))
178 (pin (num 9) (name Q3) (type output))
179 (pin (num 10) (name GND) (type power_in))
180 (pin (num 11) (name Cp) (type input))
181 (pin (num 12) (name Q4) (type output))
182 (pin (num 13) (name D4) (type input))
183 (pin (num 14) (name D5) (type input))
184 (pin (num 15) (name Q5) (type output))
185 (pin (num 16) (name Q6) (type output))
186 (pin (num 17) (name D6) (type input))
187 (pin (num 18) (name D7) (type input))
188 (pin (num 19) (name Q7) (type output))
189 (pin (num 20) (name VCC) (type power_in))))
190 (libpart (lib 74xx) (part 74LS30)
191 (description "8-input NAND")
192 (docs http://www.ti.com/lit/gpn/sn74LS30)
193 (footprints
194 (fp DIP*W7.62mm*))
195 (fields
196 (field (name Reference) U)
197 (field (name Value) 74LS30))
198 (pins
199 (pin (num 1) (name ~) (type input))
200 (pin (num 2) (name ~) (type input))
201 (pin (num 3) (name ~) (type input))
202 (pin (num 4) (name ~) (type input))
203 (pin (num 5) (name ~) (type input))
204 (pin (num 6) (name ~) (type input))
205 (pin (num 7) (name GND) (type power_in))
206 (pin (num 8) (name ~) (type output))
207 (pin (num 11) (name ~) (type input))
208 (pin (num 12) (name ~) (type input))
209 (pin (num 14) (name VCC) (type power_in))))
210 (libpart (lib 74xx) (part 74LS86)
211 (aliases
212 (alias 74HC86))
213 (description "Quad 2-input XOR")
214 (docs 74xx/74ls86.pdf)
215 (footprints
216 (fp DIP*W7.62mm*))
217 (fields
218 (field (name Reference) U)
219 (field (name Value) 74LS86))
220 (pins
221 (pin (num 1) (name ~) (type input))
222 (pin (num 2) (name ~) (type input))
223 (pin (num 3) (name ~) (type output))
224 (pin (num 4) (name ~) (type input))
225 (pin (num 5) (name ~) (type input))
226 (pin (num 6) (name ~) (type output))
227 (pin (num 7) (name GND) (type power_in))
228 (pin (num 8) (name ~) (type output))
229 (pin (num 9) (name ~) (type input))
230 (pin (num 10) (name ~) (type input))
231 (pin (num 11) (name ~) (type output))
232 (pin (num 12) (name ~) (type input))
233 (pin (num 13) (name ~) (type input))
234 (pin (num 14) (name VCC) (type power_in))))
235 (libpart (lib Connector_Generic) (part Conn_02x25_Odd_Even)
236 (description "Generic connector, double row, 02x25, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)")
237 (docs ~)
238 (footprints
239 (fp Connector*:*_2x??_*))
240 (fields
241 (field (name Reference) J)
242 (field (name Value) Conn_02x25_Odd_Even))
243 (pins
244 (pin (num 1) (name Pin_1) (type passive))
245 (pin (num 2) (name Pin_2) (type passive))
246 (pin (num 3) (name Pin_3) (type passive))
247 (pin (num 4) (name Pin_4) (type passive))
248 (pin (num 5) (name Pin_5) (type passive))
249 (pin (num 6) (name Pin_6) (type passive))
250 (pin (num 7) (name Pin_7) (type passive))
251 (pin (num 8) (name Pin_8) (type passive))
252 (pin (num 9) (name Pin_9) (type passive))
253 (pin (num 10) (name Pin_10) (type passive))
254 (pin (num 11) (name Pin_11) (type passive))
255 (pin (num 12) (name Pin_12) (type passive))
256 (pin (num 13) (name Pin_13) (type passive))
257 (pin (num 14) (name Pin_14) (type passive))
258 (pin (num 15) (name Pin_15) (type passive))
259 (pin (num 16) (name Pin_16) (type passive))
260 (pin (num 17) (name Pin_17) (type passive))
261 (pin (num 18) (name Pin_18) (type passive))
262 (pin (num 19) (name Pin_19) (type passive))
263 (pin (num 20) (name Pin_20) (type passive))
264 (pin (num 21) (name Pin_21) (type passive))
265 (pin (num 22) (name Pin_22) (type passive))
266 (pin (num 23) (name Pin_23) (type passive))
267 (pin (num 24) (name Pin_24) (type passive))
268 (pin (num 25) (name Pin_25) (type passive))
269 (pin (num 26) (name Pin_26) (type passive))
270 (pin (num 27) (name Pin_27) (type passive))
271 (pin (num 28) (name Pin_28) (type passive))
272 (pin (num 29) (name Pin_29) (type passive))
273 (pin (num 30) (name Pin_30) (type passive))
274 (pin (num 31) (name Pin_31) (type passive))
275 (pin (num 32) (name Pin_32) (type passive))
276 (pin (num 33) (name Pin_33) (type passive))
277 (pin (num 34) (name Pin_34) (type passive))
278 (pin (num 35) (name Pin_35) (type passive))
279 (pin (num 36) (name Pin_36) (type passive))
280 (pin (num 37) (name Pin_37) (type passive))
281 (pin (num 38) (name Pin_38) (type passive))
282 (pin (num 39) (name Pin_39) (type passive))
283 (pin (num 40) (name Pin_40) (type passive))
284 (pin (num 41) (name Pin_41) (type passive))
285 (pin (num 42) (name Pin_42) (type passive))
286 (pin (num 43) (name Pin_43) (type passive))
287 (pin (num 44) (name Pin_44) (type passive))
288 (pin (num 45) (name Pin_45) (type passive))
289 (pin (num 46) (name Pin_46) (type passive))
290 (pin (num 47) (name Pin_47) (type passive))
291 (pin (num 48) (name Pin_48) (type passive))
292 (pin (num 49) (name Pin_49) (type passive))
293 (pin (num 50) (name Pin_50) (type passive))))
294 (libpart (lib Device) (part C)
295 (description "Unpolarized capacitor")
296 (docs ~)
297 (footprints
298 (fp C_*))
299 (fields
300 (field (name Reference) C)
301 (field (name Value) C))
302 (pins
303 (pin (num 1) (name ~) (type passive))
304 (pin (num 2) (name ~) (type passive))))
305 (libpart (lib Device) (part CP)
306 (description "Polarized capacitor")
307 (docs ~)
308 (footprints
309 (fp CP_*))
310 (fields
311 (field (name Reference) C)
312 (field (name Value) CP))
313 (pins
314 (pin (num 1) (name ~) (type passive))
315 (pin (num 2) (name ~) (type passive))))
316 (libpart (lib Device) (part Jumper)
317 (description "Jumper, normally closed")
318 (docs ~)
319 (footprints
320 (fp SolderJumper*))
321 (fields
322 (field (name Reference) JP)
323 (field (name Value) Jumper))
324 (pins
325 (pin (num 1) (name 1) (type passive))
326 (pin (num 2) (name 2) (type passive))))
327 (libpart (lib Device) (part R)
328 (description Resistor)
329 (docs ~)
330 (footprints
331 (fp R_*))
332 (fields
333 (field (name Reference) R)
334 (field (name Value) R))
335 (pins
336 (pin (num 1) (name ~) (type passive))
337 (pin (num 2) (name ~) (type passive))))
338 (libpart (lib Logic_Programmable) (part GAL16V8)
339 (description "Programmable Logic Array, DIP-20/SOIC-20/PLCC-20")
340 (footprints
341 (fp DIP*)
342 (fp PDIP*)
343 (fp SOIC*)
344 (fp SO*)
345 (fp PLCC*))
346 (fields
347 (field (name Reference) U)
348 (field (name Value) GAL16V8))
349 (pins
350 (pin (num 1) (name I1/CLK) (type input))
351 (pin (num 2) (name I2) (type input))
352 (pin (num 3) (name I3) (type input))
353 (pin (num 4) (name I4) (type input))
354 (pin (num 5) (name I5) (type input))
355 (pin (num 6) (name I6) (type input))
356 (pin (num 7) (name I7) (type input))
357 (pin (num 8) (name I8) (type input))
358 (pin (num 9) (name I9) (type input))
359 (pin (num 10) (name GND) (type power_in))
360 (pin (num 11) (name I10/~OE~) (type input))
361 (pin (num 12) (name IO8) (type 3state))
362 (pin (num 13) (name IO7) (type 3state))
363 (pin (num 14) (name IO6) (type 3state))
364 (pin (num 15) (name IO5) (type 3state))
365 (pin (num 16) (name IO4) (type 3state))
366 (pin (num 17) (name I03) (type 3state))
367 (pin (num 18) (name IO2) (type 3state))
368 (pin (num 19) (name IO1) (type 3state))
369 (pin (num 20) (name VCC) (type power_in))))
370 (libpart (lib nova-rescue) (part M48T35Y-70MH1F-2021-02-23_17-07-18)
371 (footprints
372 (fp SOH28_STM)
373 (fp SOH28_STM-M)
374 (fp SOH28_STM-L))
375 (fields
376 (field (name Reference) U)
377 (field (name Value) M48T35Y-70MH1F-2021-02-23_17-07-18)
378 (field (name Footprint) SOH28_STM))
379 (pins
380 (pin (num 1) (name A14) (type input))
381 (pin (num 2) (name A12) (type input))
382 (pin (num 3) (name A7) (type input))
383 (pin (num 4) (name A6) (type input))
384 (pin (num 5) (name A5) (type input))
385 (pin (num 6) (name A4) (type input))
386 (pin (num 7) (name A3) (type input))
387 (pin (num 8) (name A2) (type input))
388 (pin (num 9) (name A1) (type input))
389 (pin (num 10) (name A0) (type input))
390 (pin (num 11) (name DQ0) (type BiDi))
391 (pin (num 12) (name DQ1) (type BiDi))
392 (pin (num 13) (name DQ2) (type BiDi))
393 (pin (num 14) (name VSS) (type power_in))
394 (pin (num 15) (name DQ3) (type BiDi))
395 (pin (num 16) (name DQ4) (type BiDi))
396 (pin (num 17) (name DQ5) (type BiDi))
397 (pin (num 18) (name DQ6) (type BiDi))
398 (pin (num 19) (name DQ7) (type BiDi))
399 (pin (num 20) (name E*) (type unspc))
400 (pin (num 21) (name A10) (type input))
401 (pin (num 22) (name G*) (type output))
402 (pin (num 23) (name A11) (type input))
403 (pin (num 24) (name A9) (type input))
404 (pin (num 25) (name A8) (type input))
405 (pin (num 26) (name A13) (type input))
406 (pin (num 27) (name W*) (type unspc))
407 (pin (num 28) (name VCC) (type power_in))))
408 (libpart (lib pspice) (part DIODE)
409 (description "Diode symbol for simulation only. Pin order incompatible with official kicad footprints")
410 (docs ~)
411 (fields
412 (field (name Reference) D)
413 (field (name Value) DIODE))
414 (pins
415 (pin (num 1) (name K) (type input))
416 (pin (num 2) (name A) (type input)))))
417 (libraries
418 (library (logical 74xx)
419 (uri "C:\\Program Files\\KiCad\\share\\kicad\\library/74xx.lib"))
420 (library (logical Connector_Generic)
421 (uri "C:\\Program Files\\KiCad\\share\\kicad\\library/Connector_Generic.lib"))
422 (library (logical Device)
423 (uri "C:\\Program Files\\KiCad\\share\\kicad\\library/Device.lib"))
424 (library (logical Logic_Programmable)
425 (uri "C:\\Program Files\\KiCad\\share\\kicad\\library/Logic_Programmable.lib"))
426 (library (logical nova-rescue)
427 (uri "C:\\Users\\pulkomandy\\Documents\\GitHub\\avrstuff\\CPC stuff\\nova/nova-rescue.lib"))
428 (library (logical pspice)
429 (uri "C:\\Program Files\\KiCad\\share\\kicad\\library/pspice.lib")))
430 (nets
431 (net (code 1) (name /SEL0)
432 (node (ref U4) (pin 26))
433 (node (ref U7) (pin 2)))
434 (net (code 2) (name /MAP0)
435 (node (ref U7) (pin 19)))
436 (net (code 3) (name VCC)
437 (node (ref U5) (pin 20))
438 (node (ref U1) (pin 2))
439 (node (ref C1) (pin 1))
440 (node (ref C2) (pin 1))
441 (node (ref C3) (pin 1))
442 (node (ref C5) (pin 1))
443 (node (ref U2) (pin 16))
444 (node (ref U3) (pin 14))
445 (node (ref R1) (pin 2))
446 (node (ref U1) (pin 14))
447 (node (ref C4) (pin 1))
448 (node (ref U4) (pin 28))
449 (node (ref J1) (pin 27))
450 (node (ref U7) (pin 20)))
451 (net (code 4) (name /RAMSEL)
452 (node (ref U2) (pin 15))
453 (node (ref U1) (pin 1))
454 (node (ref U4) (pin 20)))
455 (net (code 5) (name /A13)
456 (node (ref U3) (pin 12))
457 (node (ref U1) (pin 12))
458 (node (ref J1) (pin 5)))
459 (net (code 6) (name /A14)
460 (node (ref J1) (pin 4))
461 (node (ref U1) (pin 9))
462 (node (ref U3) (pin 11)))
463 (net (code 7) (name /A7)
464 (node (ref U4) (pin 3))
465 (node (ref U3) (pin 6))
466 (node (ref J1) (pin 11))
467 (node (ref U5) (pin 17)))
468 (net (code 8) (name /A15)
469 (node (ref U3) (pin 5))
470 (node (ref U1) (pin 4))
471 (node (ref J1) (pin 3)))
472 (net (code 9) (name /A12)
473 (node (ref J1) (pin 6))
474 (node (ref U3) (pin 4))
475 (node (ref U4) (pin 2)))
476 (net (code 10) (name "Net-(J1-Pad34)")
477 (node (ref J1) (pin 34)))
478 (net (code 11) (name "Net-(J1-Pad30)")
479 (node (ref J1) (pin 30)))
480 (net (code 12) (name /A11)
481 (node (ref U3) (pin 3))
482 (node (ref J1) (pin 7))
483 (node (ref U4) (pin 23)))
484 (net (code 13) (name /MAP1)
485 (node (ref U1) (pin 13))
486 (node (ref U7) (pin 16)))
487 (net (code 14) (name /SEL3)
488 (node (ref U2) (pin 6))
489 (node (ref U7) (pin 9)))
490 (net (code 15) (name /SEL2)
491 (node (ref U7) (pin 6))
492 (node (ref U2) (pin 5)))
493 (net (code 16) (name MREQ)
494 (node (ref U2) (pin 4))
495 (node (ref J1) (pin 28)))
496 (net (code 17) (name "Net-(U1-Pad8)")
497 (node (ref U1) (pin 8))
498 (node (ref U2) (pin 3)))
499 (net (code 18) (name "Net-(U1-Pad11)")
500 (node (ref U2) (pin 2))
501 (node (ref U1) (pin 11)))
502 (net (code 19) (name "Net-(U1-Pad6)")
503 (node (ref U2) (pin 1))
504 (node (ref U1) (pin 6)))
505 (net (code 20) (name /MAP2)
506 (node (ref U1) (pin 10))
507 (node (ref U7) (pin 15)))
508 (net (code 21) (name /A9)
509 (node (ref J1) (pin 9))
510 (node (ref U4) (pin 24))
511 (node (ref U3) (pin 1)))
512 (net (code 22) (name /MAP3)
513 (node (ref U1) (pin 5))
514 (node (ref U7) (pin 12)))
515 (net (code 23) (name "Net-(JP1-Pad2)")
516 (node (ref JP1) (pin 2))
517 (node (ref U4) (pin 1)))
518 (net (code 24) (name /SEL1)
519 (node (ref JP1) (pin 1))
520 (node (ref U7) (pin 5)))
521 (net (code 25) (name /A10)
522 (node (ref U4) (pin 21))
523 (node (ref J1) (pin 8))
524 (node (ref U3) (pin 2))
525 (node (ref U5) (pin 8)))
526 (net (code 26) (name GND)
527 (node (ref U1) (pin 7))
528 (node (ref U2) (pin 8))
529 (node (ref C3) (pin 2))
530 (node (ref C5) (pin 2))
531 (node (ref C2) (pin 2))
532 (node (ref C1) (pin 2))
533 (node (ref U3) (pin 7))
534 (node (ref U4) (pin 14))
535 (node (ref C4) (pin 2))
536 (node (ref J1) (pin 2))
537 (node (ref J1) (pin 49))
538 (node (ref U5) (pin 10))
539 (node (ref U7) (pin 10)))
540 (net (code 27) (name "Net-(J1-Pad36)")
541 (node (ref J1) (pin 36)))
542 (net (code 28) (name /ADR3)
543 (node (ref U3) (pin 8))
544 (node (ref U5) (pin 11)))
545 (net (code 29) (name /MAPSEL)
546 (node (ref U5) (pin 19))
547 (node (ref U7) (pin 11)))
548 (net (code 30) (name "Net-(U5-Pad16)")
549 (node (ref U5) (pin 16)))
550 (net (code 31) (name "Net-(U5-Pad15)")
551 (node (ref U5) (pin 15)))
552 (net (code 32) (name IORQ)
553 (node (ref J1) (pin 31))
554 (node (ref U5) (pin 14)))
555 (net (code 33) (name WR)
556 (node (ref U5) (pin 13))
557 (node (ref J1) (pin 33))
558 (node (ref U4) (pin 27)))
559 (net (code 34) (name /RESET)
560 (node (ref U5) (pin 12))
561 (node (ref J1) (pin 41)))
562 (net (code 35) (name /A8)
563 (node (ref J1) (pin 10))
564 (node (ref U4) (pin 25))
565 (node (ref U5) (pin 9)))
566 (net (code 36) (name /A6)
567 (node (ref U5) (pin 7))
568 (node (ref U4) (pin 4))
569 (node (ref J1) (pin 12)))
570 (net (code 37) (name /A3)
571 (node (ref J1) (pin 15))
572 (node (ref U5) (pin 6))
573 (node (ref U4) (pin 7)))
574 (net (code 38) (name /A2)
575 (node (ref U4) (pin 8))
576 (node (ref J1) (pin 16))
577 (node (ref U5) (pin 5)))
578 (net (code 39) (name /A5)
579 (node (ref J1) (pin 13))
580 (node (ref U5) (pin 4))
581 (node (ref U4) (pin 5)))
582 (net (code 40) (name /A4)
583 (node (ref U5) (pin 3))
584 (node (ref J1) (pin 14))
585 (node (ref U4) (pin 6)))
586 (net (code 41) (name /A1)
587 (node (ref U5) (pin 2))
588 (node (ref J1) (pin 17))
589 (node (ref U4) (pin 9)))
590 (net (code 42) (name /A0)
591 (node (ref J1) (pin 18))
592 (node (ref U5) (pin 1))
593 (node (ref U4) (pin 10)))
594 (net (code 43) (name /SHRESET)
595 (node (ref U7) (pin 1))
596 (node (ref U5) (pin 18)))
597 (net (code 44) (name "Net-(D1-Pad1)")
598 (node (ref U1) (pin 3))
599 (node (ref D1) (pin 1))
600 (node (ref D2) (pin 1))
601 (node (ref R1) (pin 1)))
602 (net (code 45) (name /RAMDIS)
603 (node (ref D2) (pin 2))
604 (node (ref J1) (pin 45)))
605 (net (code 46) (name /ROMDIS)
606 (node (ref D1) (pin 2))
607 (node (ref J1) (pin 43)))
608 (net (code 47) (name /D4)
609 (node (ref U7) (pin 18))
610 (node (ref J1) (pin 22))
611 (node (ref U4) (pin 16)))
612 (net (code 48) (name "Net-(J1-Pad38)")
613 (node (ref J1) (pin 38)))
614 (net (code 49) (name "Net-(J1-Pad47)")
615 (node (ref J1) (pin 47)))
616 (net (code 50) (name "Net-(J1-Pad39)")
617 (node (ref J1) (pin 39)))
618 (net (code 51) (name "Net-(J1-Pad37)")
619 (node (ref J1) (pin 37)))
620 (net (code 52) (name "Net-(J1-Pad35)")
621 (node (ref J1) (pin 35)))
622 (net (code 53) (name "Net-(J1-Pad29)")
623 (node (ref J1) (pin 29)))
624 (net (code 54) (name "Net-(J1-Pad1)")
625 (node (ref J1) (pin 1)))
626 (net (code 55) (name "Net-(J1-Pad50)")
627 (node (ref J1) (pin 50)))
628 (net (code 56) (name "Net-(J1-Pad48)")
629 (node (ref J1) (pin 48)))
630 (net (code 57) (name "Net-(J1-Pad46)")
631 (node (ref J1) (pin 46)))
632 (net (code 58) (name /D0)
633 (node (ref U4) (pin 11))
634 (node (ref U7) (pin 3))
635 (node (ref J1) (pin 26)))
636 (net (code 59) (name /D2)
637 (node (ref U4) (pin 13))
638 (node (ref J1) (pin 24))
639 (node (ref U7) (pin 7)))
640 (net (code 60) (name /D6)
641 (node (ref U4) (pin 18))
642 (node (ref J1) (pin 20))
643 (node (ref U7) (pin 14)))
644 (net (code 61) (name /D1)
645 (node (ref U7) (pin 4))
646 (node (ref J1) (pin 25))
647 (node (ref U4) (pin 12)))
648 (net (code 62) (name /D3)
649 (node (ref U4) (pin 15))
650 (node (ref U7) (pin 8))
651 (node (ref J1) (pin 23)))
652 (net (code 63) (name /D5)
653 (node (ref U4) (pin 17))
654 (node (ref U7) (pin 17))
655 (node (ref J1) (pin 21)))
656 (net (code 64) (name /D7)
657 (node (ref J1) (pin 19))
658 (node (ref U4) (pin 19))
659 (node (ref U7) (pin 13)))
660 (net (code 65) (name "Net-(J1-Pad44)")
661 (node (ref J1) (pin 44)))
662 (net (code 66) (name "Net-(J1-Pad40)")
663 (node (ref J1) (pin 40)))
664 (net (code 67) (name "Net-(J1-Pad42)")
665 (node (ref J1) (pin 42)))
666 (net (code 68) (name "Net-(U2-Pad13)")
667 (node (ref U2) (pin 13)))
668 (net (code 69) (name "Net-(U2-Pad12)")
669 (node (ref U2) (pin 12)))
670 (net (code 70) (name "Net-(U2-Pad11)")
671 (node (ref U2) (pin 11)))
672 (net (code 71) (name "Net-(U2-Pad10)")
673 (node (ref U2) (pin 10)))
674 (net (code 72) (name "Net-(U2-Pad14)")
675 (node (ref U2) (pin 14)))
676 (net (code 73) (name "Net-(U2-Pad9)")
677 (node (ref U2) (pin 9)))
678 (net (code 74) (name "Net-(U2-Pad7)")
679 (node (ref U2) (pin 7)))
680 (net (code 75) (name RD)
681 (node (ref U4) (pin 22))
682 (node (ref J1) (pin 32)))))
Note: See TracBrowser for help on using the repository browser.